CPLD全方位導引(第二版)【附範例光碟】 epub pdf txt mobi 電子書 下載 2024

圖書介紹


CPLD全方位導引(第二版)【附範例光碟】


著者
齣版者 出版社:新文京 訂閱出版社新書快訊 新功能介紹
翻譯者
齣版日期 出版日期:2017/04/01
語言 語言:繁體中文



點擊這裡下載
    


想要找書就要到 灣灣書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發錶於2024-05-14

類似圖書 點擊查看全場最低價

圖書描述

CPLD (Complex Programmable Logic Device)是目前數位電路設計的主流,對於學電機電子的人, 很難跳脫出這個主流。在此不但提供快速導入CPLD,還能練就絕世功力!實際上,本書是專為科技大學及優質職校電機電子群,所設計的CPLD 數位電路教本,頗具深度,處處驚喜,卻有快速入門的神效!
  
  本次改版全面檢視、修正文字敘述及程式碼,並更新隨書光碟相關內容,使本書更臻完善。
 
  各單元簡介:

  第一章  CPLD 多采多姿-快速入門
  本單元的設計是要讓有數位邏輯概念,卻不曾接觸過CPLD 或VHDL 的人,不知不覺地踏入CPLD 數位電路設計領域。當然,「從做中學」只是基本功,在此將從簡單的開關控制燈開始,讓大家立即實現成果,然後再小小的修改,加上時間的元素,就能從被動式控制轉為主動式控制。

  第二章  傳統人機介面-鍵盤與七節顯示器
  本單元的設計是延伸第一章的喜悅,連接到大部分控制設計不可或缺的人機介面,除了表面上的鍵盤、七節顯示器外,最主要是傳達「掃瞄」的概念與應用,讓數位電路的設計更實用。

  第三章  另類輸入-旋轉編碼器應用
  本單元將導入一項罕見元素-旋轉編碼器,當然,稱之為「罕見」主要是針對學校。在產品上,旋轉編碼器用的還蠻多的!例如調整在音響中調整音量的旋鈕,高級微波爐的旋鈕,數位式示波器、數位示信號產生器、數位式電源供應器等儀器的無段式旋鈕,十之八九都是旋轉編碼器。如果旋轉編碼器處處可見,為何在學校裡看不見?為何沒有書籍/教材教我們如何應用?所以學生畢業找不到工作,所以在本單元裡會仔細介紹旋轉編碼器,並說明如何設計旋轉編碼器介面電路,更應用於簡單的數位調光燈電路範例。

  第四章  炫光奪目-PWM 應用
  在第三章裡應用PWM(脈波寬度調變)技術,設計一個數位調光燈,其重點放在旋轉編碼器上,而本單元將接續PWM 技術,並進一步應用到LEDBar、LED、七節顯示器、RGB LED 等,原來單色的LED Bar 也可以玩出這麼多花樣與情境!

  第五章  活動看板-DM13A 與LED 陣列
  本單元將導入DM13A,這是一顆很好用的定電流驅動IC,應用這顆IC除能讓電路板上的線路簡化外,還能讓LED 陣列的亮度均勻好看!可說是活動看板不可或缺的靈魂角色!在此將介紹如何設計DM13A 的介面電路(或稱為驅動電路),並應用在3216 LED 陣列,包括靜態展示、跑馬燈字幕、垂直捲動等,廣告看板我行,精彩萬分。

  第六章  LCD 介面-任我行
  本單元將以常用的1602 LCM 為例,分別介紹LCM 的8 位元介面電路與4 位元介面電路之設計與應用,簡單地揭開LCM 的神秘面紗。當然,LCM的使用並不困難,而應用才產品上只能算是配角,卻是數位電路設計必要的一個重要項目!

  第七章  數位溫控-TC74 應用
  本單元將導入TC74 數位溫控IC,這是一顆很容易使用的溫度感測器,應用的層面也很廣。而TC74 使用I2C 介面,在此將先介紹如何設計I2C介面電路,以及操作TC74 的技巧。另外,為了避免學了新東西,忘了舊東西的窘境,在此將分別使用七節顯示器、LED 陣列與LCM 來展示溫度。

  第八章  萬年曆應用-MCP79410 應用
  本單元將導入MCP79410 萬年曆IC,這顆IC 可提供即時時間與日期,很多容易使用。同樣的,MCP79410 採用I2C 介面,因第七章裡已介紹其I2C 介面電路的設計,在此的重點放在時間/日期的調整與顯示功能。

  第九章  動力十足-馬達驅動
  本單元將介紹直流馬達與步進馬達的控制電路,其中的直流馬達控制,採用PWM 技術,以達到轉速控制。而在此將設計具有「自然風」功能的電風扇,並以旋轉編碼器控制轉速、七節顯示器顯示狀況。

  附錄  安裝驅動程式與數位乙級術科應用
  本單元提供USB-Blaster 驅動程式的安裝方式,以及如何將BF-YHGL-M數位開發平台應用在數位乙級術科的訓練測試上。基於降低成本、減少用紙量的原則,本單元將以全彩方式,放置於隨書光碟裡。

著者信息

CPLD全方位導引(第二版)【附範例光碟】 epub pdf txt mobi 電子書 下載

圖書目錄

第一章 CPLD多采多姿-快速入門
1-1 從做中學Quartus II專案管理
1-2 VHDL快速入門
1-3 變更設計
1-4 主動控制
1-5 RGB LED控制電路設計
1-6 動態移位電路設計

第二章 傳統人機介面-鍵盤與七節顯示器
2-1 認識鍵盤與其驅動設計
2-2 認識七節顯示器模組與其驅動設計
2-3 鍵盤組與七節顯示器之介面
2-4 實例演練
2-5 新增功能
2-6 鍵盤應用-下數計數器
2-7 顯示器遮沒前導零
2-8 鍵盤應用-選擇功能

第三章 另類輸入-旋轉編碼器應用
3-1 認識旋轉編碼器
3-2 電路設計
3-3 實例演練
3-4 變更設計
3-5 數位調光燈設計

第四章 炫光奪目-PWM 應用
4-1 LED Bar深度之旅
4-2 LED方陣快砲
4-3 七節顯示器巧妙應用
4-4 RGB LED超絕展示

第五章 活動看板-DM13A與LED陣列
5-1 認識LED陣列
5-2 認識DM13A串列傳輸定電流驅動器
5-3 基本DM13A介面電路
5-4 PWM看板控制
5-5 跑馬燈展示
5-6 垂直捲動控制

第六章 LCD介面-任我行
6-1 認識LCD模組
6-2 LCM介面電路設計
6-3 LCD應用電路-使用8位元介面
6-4 LCD應用電路-使用4位元介面

第七章 數位溫控-TC74應用
7-1 認識TC74溫度感測IC與I2C介面
7-2 I2C介面電路設計
7-3 以七節顯示器展示溫度
7-4 以LED陣列展示溫度
7-5 以LCM展示溫度

第八章 萬年曆應用-MCP79410應用
8-1 認識MCP79410萬年曆
8-2 萬年曆應用-使用七節顯示器
8-3 萬年曆應用-使用LCM
8-4 萬年曆應用-使用32x16LED陣列

第九章 動力十足-馬達驅動
9-1 認識步進馬達、直流馬達與驅動電路
9-2 PWM驅動直流馬達
9-3 電扇控制
9-4 步進馬達控制

附錄 安裝驅動程式與數位乙級術科應用

圖書序言

圖書試讀

None

CPLD全方位導引(第二版)【附範例光碟】 pdf 下載 epub 下載 txt 下載 mobi 下載 2024


CPLD全方位導引(第二版)【附範例光碟】 pdf 下載 epub 下載 txt 下載 mobi 下載 2024

CPLD全方位導引(第二版)【附範例光碟】 epub pdf txt mobi 電子書 下載 2024




想要找書就要到 灣灣書站
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

類似圖書 點擊查看全場最低價

CPLD全方位導引(第二版)【附範例光碟】 pdf epub mobi txt 下載


分享鏈接





相關圖書




本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 twbook.tinynews.org All Rights Reserved. 灣灣書站 版權所有